1. 首页 > 科技快讯 >

先进封装技术(先进封装技术上市公司)

cob封装是目前LED行业最先进的封装技术吗

说实话COB封装已经不是最新的东西了! 目前我所知道比较新的是大功率共晶焊+陶瓷基板+Molding,

先进封装技术(先进封装技术上市公司)先进封装技术(先进封装技术上市公司)


优点:

1. 共晶焊是用金球植球BGA制程, 已不用金线.

2. 陶瓷基板散热比较好.

3. 一颗3535大小就可以做3W以上大功率产品.

4. 体积小, 微型化处理, 可减少电路设计并减量使用.

缺点:

1. 设备要求较高.

2. 制程能力及技术要求较高.

3. 成品价格较高.

4. 市场量上未打开, 初期导入较为困难.

跪求(集成电路芯片封装技术的发展前景)

制造业增速明显加快,封测业增速相对缓慢,但封测业整体规模处于稳定增长阶段。据中国半导体行业协会统计,我国近几年封测业销售额增长趋势如下表示,从2013年起,销售额已经超过1000亿元,2013年销售额为1098.85亿元,同比增长6.1%。 过去,国内企业的技术水平和产业规模落后于业内领先的外资、合资企业,但随着时间的推移,国内企业的技术水平发展迅速,产业规模得到进一步提升。业内领先的企业,长电科技、通富微电、华天科技等三大国内企业的技术水平和海外基本同步,如铜制程技术、晶圆级封装,3D堆叠封装等。在量产规模上,BGA封装在三大国内封测企业都已经批量出货,WLP封装也有亿元级别的订单,SIP系统级封装的订单量也在亿元级别。长电科技2013年已跻身全球第六大封测企业,排名较2012年前进一位。其它企业也取得了很大发展。 目前,国内三大封测企业凭借资金、客户服务和技术创新能力,已与业内领先的外资、合资企业一并位列我国封测业第一梯队;第二梯队则是具备一定技术创新能力、高速成长的中等规模国内企业,该类企业专注于技术应用和工艺创新,主要优势在低成本和高性价比;第三梯队是技术和市场规模均较弱的小型企业,缺乏稳定的销售收入,但企业数量却最多。 为了降低生产成本,以及看重中国内巨大且快速增长的终端电子应用市场,国际半导体制造商和封装测试代工企业纷纷将其产能转移至中国,拉动了中国半导体制封装产业规模的迅速扩大。目前,全球型IDM厂商和专业封装代工厂大都在中国大陆建有生产基地,由此造成我国外资企业占比较高。同时,经过多年的努力,国内控股的封测企业得到了较快的发展,正在逐步缩小与国际厂商的技术、市场方面的差距。部分内资封装测试企业已经在国内发行股票上市。 四、集成电路封装的发展趋势 目前我国封测业正迎来前所未有的发展机遇。首先,国内封测业已有了一定的产业基础,封装技术已接近国际先进水平,2013年我国集成电路封测业收入排名前10企业中,已有3家是国内企业。近年来国家出台的《国务院关于印发进一步鼓励软件产业和集成电路产业发展若干政策的通知》(国发〔2011〕4号),2014年6月国务院印发的《国家集成电路产业发展推进纲要》等有关政策文件,

进一步加大了对集成电路产业的支持,国内新兴产业市场的拉动,也促进了集成电路产业的大发展。海思半导体、展讯、锐迪科微电子、大唐半导体设计有限公司等国内设计企业的崛起将为国内封测企业带来更多的发展机会。 此外,由于全球经济恢复缓慢,加上人力成本等诸多原因,国际半导体大公司产业布局正面临大幅调整,关停转让下属封测企业的动作频繁发生,如:日本松下集团已将在印度尼西亚、马来西亚、新加坡的3家半导体工厂出售。日本松下在中国上海和苏州的封测企业也在寻求出售或合作伙伴。英特尔近期也表示,该公司将在2014年的二、三两个季度内,将已关闭工厂的部分业务转移至英特尔位于中国等地现有的组装和测试工厂中。欧美日半导体巨头持续从封测领域退出,对国内封测业的发展也非常有利。 但是,国内封测业的发展也面临制造业涨薪潮(成本问题)、大批国际组装封装业向中国大陆转移(市场问题)、整机发展对元器件封装组装微小型化等要求(技术问题)等重大挑战。国内封测企业必须通过增强技术创新能力、加大成本控制、提升管理能力等措施,才能在瞬息万变的市场竞争中立于不败之地。 目前,集成电路封装根据电路与PCB等系统板的连接方式,可大致分为直插封装、表面贴装、高密度封装三大类型。国内封装业主要以直插封装和表面贴装中的两边或四边引线封装为主,这两大封装类型约占我国70%的市场份额。国内长电科技、通富微电、华天科技等企业已成功开发了BGA、WLCSP、LGA等先进封装技术,另有部分技术实力较强,经济效益好的企业也正在加大对面积阵列封装技术的研发力度,满足市场对中高端电路产品的需求。随着电子产品继续呈现薄型化、多功能、智能化特点,未来集成电路封装业将向多芯片封装、3D封装、高密度、薄型化、高集成度的方向发展。 由于我国封测产业已具备一定基础,随着我国集成电路设计企业的崛起和欧美日国际半导体巨头逐渐退出封测业,我国封测产业面临前所未有的发展机遇,同时也需要应对各种挑战。展望未来,国内封测企业必须通过增强技术创新能力、加大成本控制、提升管理能力等措施,才能在瞬息万变的市场竞争中立于不败之地。我国的封装业发展前途一片光明。 参考文献:

《微电子器件封装——封装材料与封装技术》 周良知 编著 北学工业出版社 《集成电路芯片封装技术(第2版)》 李可为 编著 电子工业出版社 《集成电路封装行业发展现状》 中商情报网 网络文献 《未来集成电路封测技术趋势和我国封测业发展》 电子产品世界 网络文献 《行业数据:集成电路封测技术及我国封测业发展趋势解读》 电子工程网 网络文献

你好,本题已解答,如果满意

请点右下角“采纳答案”。

先进封装龙头股票有哪些

2022年先进封装概念股有:

(1)、文一科技:

文一科技从近三年营收复合增长来看,近三年营收复合增长为30.98%,过去三年营收最低为2019年的2.59亿元,最高为2021年的4.44亿元。

公司在互动平台表示,公司正在研发的晶圆级封装设备属于先进封装专用工艺设备,可以用于第三代半导体材料封装,传统封装采用引线框架作为载体进行封装,该设备基于12寸晶圆,可直接进行塑封,适用于FoWLP形式的封装。该设备可用于高性能CPU/GPU/AI、低延迟低功耗的5G芯片以及3DNAND多层堆叠的先进塑封工艺等方面。

近7个交易日,文一科技下跌10.6%,最高价为13.02元,总市值下跌了2.17亿元,2022年来上涨30.24%。

(2)、西陇科学:

从西陇科学近三年营收复合增长来看,近三年营收复合增长为43.13%,过去三年营收最低为2019年的33.38亿元,最高为2021年的68.38亿元。

超净高纯化学试剂龙头,用于芯片清洗和刻蚀;子公司化讯半导体专注于晶圆级先进封装关键材料。

近7个交易日,西陇科学上涨5.67%,最高价为6.52元,总市值上涨了2.34亿元,2022年来下跌-65.39%。

(3)、环旭电子:

从近三年营收复合增长来看,公司近三年营收复合增长为21.92%,过去三年营收最低为2019年的372.04亿元,最高为2021年的553亿元。

国内SIP封装技术龙头,先进封装成为集成电路封装的未来趋势,SiP市场不断扩大,与SoC相比,SiP具有封装效率高、兼容性广泛、成本低、生产周期短等优势,因此SiP技术天然的更适合生命周期短、面积小的产品。

环旭电子近7个交易日,期间整体上涨5.17%,最高价为16.55元,最低价为18.79元,总成交量1.05亿手。2022年来上涨11.15%。

(4)、芯原股份:

从近三年营收复合增长来看,公司近三年营收复合增长为26.36%,过去三年营收最低为2019年的13.4亿元,最高为2021年的21.39亿元。

2021年报显示公司将着力发展Chiplet业务,以实现IP芯片化并进一步实现芯片平台化,为客户提供更加完备的基于Chiplet的平台化芯片定制解决方案。

近7日股价下跌0.41%,2022年股价下跌-52.88%。

(5)、寒武纪:

从近三年营收复合增长来看,公司近三年营收复合增长为27.44%,过去三年营收最低为2019年的4.44亿元,最高为2021年的7.21亿元。

公司2021年11月推出的思元370是训推一体人工智能芯片,不直接对标友商最新推出的旗舰芯片产品。思元370是寒武纪首款采用chiplet(芯粒)技术的AI芯片,采用7nm制程工艺,最大算力高达256TOPS(INT8),是寒武纪第二代产品思元270算力的2倍。

封装技术的3D封装技术

由于电子整机和系统在航空、航天、计算机等领域对小型化、轻型化、薄型化等高密度组装要求的不断提高,在MCM的基础上,对于有限的面积,电子组装必然在二维组装的基础上向z方向发展,这就是所谓的三维(3D)封装技术,这是今后相当长时间内实现系统组装的有效手段。

先进封装市场恐生变

近日,有台湾地区媒体报道,台积电已将2.5D封装技术CoWoS(Chip On Wafer On Substrate)业务的部分流程(On Substrate,简称oS)外包给了OSAT厂商,主要集中在小批量定制产品方面。而类似的合作模式预计将在未来的3D IC封装中继续存在。

CoWoS技术先将芯片通过Chip on Wafer(CoW)的封装制程连接至硅晶圆,再把CoW芯片与基板连接(oS)。

台积电拥有高度自动化的晶圆级封装技术,而oS流程无法实现自动化的部分较多,需要更多人力,而日月光(ASE)、矽品、安靠(Amkor)等顶尖OSAT厂商在oS流程处理方面的经验更多。

在过去几年里,台积电已经陆续将部分封装业务的oS流程外包给了上述OSAT厂商,包括使用FOWLP和InFO封装工艺的HPC芯片。

消息人士称,在封装业务方面,台积电最赚钱的是晶圆级SiP技术,如CoW和WoW,其次是FOWLP和InFO,而oS的利润最低。由于异构芯片集成需求显著增长,预计台积电会将更多的低利润封装业务交给OSAT。

无论以上消息是否属实,在制程工艺进步艰难的当下,先进封装的重要性愈加凸出,而台积电作为领先企业,其先进制程和封装高度融合能力将引领今后几年的芯片封装市场,相应举动对市场格局也会产生影响。

先进封装市场快速升温

Yole预测,2017~2022 年,全球先进封装技术:2.5D&3D,Fan-out,Flip-Chip的收入年复合增长率分别为28%、36%和8%,而同期全球封测行业收入年复合增长率为3.5%,明显领先于传统封装市场。2021年,OSAT厂商将花费不低于67亿美元用于先进封装的技术研发、设备采购和基础设施建设。此外,不只是OSAT,台积电和英特尔也在先进封装上花费巨大。

在这场竞赛中,最抢眼的有5家企业,分别是日月光、台积电、英特尔、Amkor和江苏长电(JCET)。其中,台积电计划在2021年斥资25亿至28亿美元,以基于其 InFO、CoWoS 和 SoIC 的产品线来建设封装厂。Yole估计,台积电在2020年从先进封装中获得了36亿美元的营收。

另外,OSAT霸主日月光宣布,将向其晶圆级封装业务投入20亿美元;英特尔则宣布,将在美国亚利桑那州投资200亿美元建设晶圆厂,并扩大其在亚利桑那州和俄勒冈州工厂的Foveros/EMIB封装业务,此外,还将投资先进封装的合作项目,这方面的合作对象主要是台积电。

先进封测技术可以提高封装效率、降低成本、提供更好的性价比。目前来看,先进封装主要包括倒装(Flip Chip)、凸块(Bumping)、晶圆级封装(Wafer level package)、2.5D封装、3D封装(TSV)等技术。先进封装在诞生之初只有WLP、2.5D和3D这几种,近年来,先进封装向各个方向快速发展,而每个开发相关技术的公司都将自己的技术独立命名,如台积电的InFO、CoWoS,日月光的FoCoS,Amkor的SLIM、SWIFT等。

在中国大陆地区,2015年以前,只有长电 科技 能够跻身全球前十,而在2017年,三家封测企业营收分别增长 25%、28%、42%。长电 科技 一跃成为全球OSAT行业中收入的第3名。

在技术储备方面, 在大陆三大龙头封测企业当中,长电 科技 的先进封装技术优势最为突出。据悉,其掌握了Fan-out eWLB(embedded wafer level BGA),WLCSP(wafer-level chip scale packaging),SiP,Bumping,PoP(package on package)等高端封装技术。

5G需求最强烈

随着手机越来越轻薄,在有限的空间里要塞入更多组件,这就要求芯片的制造技术和封装技术都要更先进才能满足市场需求。特别是在5G领域,要用到MIMO技术,天线数量和射频前端(RFFE)组件(PA、射频开关、收发器等)的数量大增,而这正是先进封装技术大显身手的时候。

目前来看,SiP技术已经发展到了一个较为成熟的阶段,由于SoC良率提升难度较大。为了满足多芯片互联、低功耗、低成本、小尺寸的需求,SIP是一个不错的选择。SiP从封装的角度出发,将多种功能芯片,如处理器、存储器等集成在一个封装模块内,成本相对于SoC大幅度降低。另外,晶圆制造工艺已经来到7nm时代,后续还会往5nm、3nm挑战,但伴随而来的是工艺难度将会急剧上升,芯片级系统集成的难度越来越大。SIP给芯片集成提供了一个既满足性能需求又能减少尺寸的解决方案。

而为了满足5G的需求,在SiP的基础上,封装技术还在演进。通过更先进的封装技术,可解决产品尺寸过大、耗电及散热等问题,并利用封装方式将天线埋入终端产品,以提升传输速度。

以5G手机为例,应用讲究轻薄短小、传输快速,且整体效能取决于核心的应用处理器(AP)芯片,而随着5G高频波段的启用,负责传输信号的射频前端(RFFE)和天线设计也越来越复杂,需要先进封装技术的支持。

竞争加剧

近几年,虽然排名前十的厂商一直未有大的变化,但是它们之间的竞争激烈程度与日俱增,特别是市场对先进封装技术的需求量快速增长,这也逐渐成为了优秀封测企业的试金石。不仅是传统的OSAT封测企业,近些年,一些IDM和晶圆代工厂也在企业内部大力发展封测业务,以提升其生产效率和自主能力,而且,这些企业研发的一般都是先进的封测技术。在这类企业中,典型代表就是台积电、三星和英特尔。

如台积电的InFO(Integrated Fan-Out),就是其标志性技术。另外还有CoWoS(Chip on Wafer on Substrate)封装技术。该技术是为解决能耗问题而发展出的2.5D封装解决方案。此外,台积电还在研发和推广其3D封装技术——SoIC。

近些年,为了提升综合竞争力,三星也在发展先进封装技术,但与台积电相比还是有差距。代表技术是“面板级扇出型封装”FOPLP),FOPLP是将输入/输出端子电线转移至半导体芯片外部,提高性能的同时,也能降低生产成本。

英特尔自研的先进封装技术是EMIB(嵌入式多芯片互连桥接)2D封装 和 Foveros 3D封装。此外,还有用于以上封装的先进芯片互连技术,包括Co-EMIB、ODI和MDIO。

有了IDM和晶圆代工厂的加入,封测业的竞争或许将更加激烈,在多方势力的竞逐下,在不久的将来,不知道传统OSAT封测企业的格局是否会被打破。

先进制程工艺对封装提出了更高要求,或者说,先进封装在一定程度上可以弥补制程工艺的不足。因此,最近几年,台积电和三星不断在3D先进封装技术方面加大投入,争取把更多的先进技术掌握在自己手中。

在台积电2021 线上技术研讨会期间,该公司披露了3DFabric系统整合解决方案,并将持续扩展由三维硅堆栈及先进封装技术组成的3DFabric。

台积电指出,针对高性能运算应用,将于2021年提供更大的光罩尺寸,以支持整合型扇出暨封装基板(InFO_oS)和CoWoSR封装方案,运用范围更大的布局规划来整合chiplet及高带宽内存。

此外,系统整合芯片方面,芯片堆栈于晶圆之上的版本预计今年完成7nm的验证,并于2022年在崭新的全自动化晶圆厂开始生产。

针对移动应用,台积电则推出了InFO_B解决方案,将移动处理器整合于轻薄精巧的封装之中,提供强化的性能和功耗效率,并且支持移动设备芯片制造厂商封装时所需的动态随机存取内存堆栈。

台积电还将先进封装的业务拓展到了日本,这也需要一笔可观的投资。日本经产省表示,台积电将在日本茨城县筑波市设立研发据点,总经费约370亿日元,日本政府将出资总经费约5成予以支持。据悉,拥有领先封装技术的日本企业Ibiden、半导体装置厂商芝浦机械(Shibaura Machine )等与半导体有关的约20家日本企业有望参与研发,重点就是“小芯片”和3D封装技术。

三星研发的3D封装技术为X-Cube,该技术利用TSV封装,可让多个芯片进行堆叠,制造出单一的逻辑芯片。

三星在7nm制程的测试过程中,利用TSV 技术将SRAM 堆叠在逻辑芯片顶部,这也使得在电路板的配置上,可在更小的面积上装载更多的存储单元。X-Cube还有诸多优点,如芯片间的信号传递距离更短,以及将数据传送、能量效率提升到最高。

三星表示,X-Cube可让芯片工程师在进行定制化解决方案的设计过程中,能享有更多弹性,也更贴近他们的特殊需求。

2020年至今,日月光在先进封装研发方面取得了多项成果,具体包括:覆晶封装方面,实现了7nm/10nm芯片制程技术认证,14nm/16nm铜制程/超低介电芯片覆晶封装应用、银合金线于混合式覆晶球格阵列式封装技术;焊线封装方面,开发了第二代先进整合组件内埋封装技术、超细间距与线径铜/金焊线技术,移动式存储技术、晶圆级扇出式RDL 打线封装;晶圆级封装方面,有扇出型30um芯片厚度研磨前切割技术、8 Hi HBM CPD晶圆高精准度(+/-2um)研磨技术、晶圆穿导孔、玻璃基板封装、晶圆级芯片尺寸六面保护封装技术开发、扇出型PoP芯片产品开发、晶粒贴合晶圆制程技术;先进封装与模组方面,开发了低功耗天线设计与封装技术、可弯曲基板及封装技术、双面薄化无线通讯模组技术、5G天线封装等;面板级封装方面,开发了扇出型动态补偿光罩之面板级封装技术。

在此基础上,日月光将在2021年持续扩大先进制程与产能规模,特别是在5G、SiP、感应器、车用电子及智能型装置方面,会进一步加大投入力度。此外,预计多芯片及感应器相关需求会增加。

结语

封装对于提升芯片整体性能越来越重要,随着先进封装朝着小型化和集成化的方向发展,技术壁垒不断提高。未来,先进封装市场规模有望快速提升,技术领先的龙头厂商则会享受最大红利。

晶圆 集成电路 设备 汽车 芯片 存储 台积电 AI 封装

2017封测年会笔记:物联网时代的先进封装

2017年中国半导体封装测试技术与市场年会已经过去一个月了,但半导体这个需要厚积薄发的行业不需要蹭热点,一个月之后,年会上专家们的精彩发言依然余音绕梁。除了“封装测试”这个关键词,嘉宾们提的最多的一个关键词是“物联网”。因此,将年会上的嘉宾观点稍作整理,让我们再一起思考一下物联网时代的先进封装。

智能手机增速放缓

半导体下游市场的驱动力经历了几个阶段,首先是出货量为亿台量级的个人电脑,后来变成十亿台量级的手机终端和通讯产品,而从2010年开始,以智能手机为代表的智能移动终端掀起了移动互联网的高潮,成为最新的杀手级应用。回顾之前的二三十年,下游电子行业杀手级应用极大的拉动了半导体产业发展,不断激励半导体厂商扩充产能,提升性能,而随着半导体产量提升,半导体价格也很快下降,更便宜更高性能的半导体器件又反过来推动了电子产业加速发展,半导体行业和电子行业相互激励,形成了良好的正反馈。但在目前, 智能手机的渗透率已经很高,市场增长率开始减缓,下一个杀手级应用将会是什么?

物联网可能成为下一个杀手级应用

根据IHS的预测,物联网节点连接数在2025年将会达到700亿。

从数量上来看,物联网将十亿量级的手机终端产品远远抛在后面,很可能会成为下一波的杀手级应用。但物联网的问题是产品多样化,应用非常分散。我们面对的市场正从单一同质化大规模市场向小规模异质化市场发生变化。对于半导体这种依靠量的行业来说,芯片设计和流片前期投入巨大,没有量就不能产生规模效应,摊销到每块芯片的成本非常高。

除了应对小规模异质化的挑战, 物联网需要具备的关键要素还包括 :多样的传感器(各类传感器和Sensor Hub),分布式计算能力(云端计算和边缘计算),灵活的连接能力(5G,WIFI,NB-IOT,Lora, Bluetooth, NFC,M2M…),存储能力(存储器和数据中心)和网络安全。这些关键要素会刺激CPU/AP/GPU,SSD/Memory,生物识别芯片,无线通讯器件,传感器,存储器件和功率器件的发展。

物联网多样化的下游产品对封装提出更多要求

物联网产品的多样性意味着芯片制造将从单纯追求制程工艺的先进性,向既追求制程先进性,也最求产品线的宽度发展。物联网时代的芯片可能的趋势是:小封装,高性能,低功耗,低成本,异质整合(Stacking,Double Side, EMI Shielding, Antenna…)。

汽车电子的封装需求: 汽车电子目前的热点在于ADAS系统和无人驾驶AI深度学习。全球汽车2016年产销量约为8000万台,其中中国市场产销量2800万台,为汽车电子提供了足够大的舞台。ADAS汽车系统发展前景广阔,出于安全考虑,美国NHTSA要求从2018年5月起生产的汽车需要强制安装倒车影像显示系统。此外,车道偏离警示系统(LDW),前方碰撞预警系统(FCW),自动紧急刹车系统(AEBS),车距控制系统(ACC),夜视系统(NV)市场也在快速成长。中国一二线城市交规越来越严格也使得人们对ADAS等汽车电子系统的需求提升。ADAS,无人驾驶,人工智能,深度学习对数据处理实时性要求高,所以要求芯片能实现超高的计算性能,另外对芯片和模块小型化设计和散热也有要求,未来的汽车电子芯片可能需要用2.5D技术进行异构性的集成,比如将CPU,GPU,FPGA,DRAM集成封装在一起。

个人移动终端的封装需求: 个人消费电子市场也将继续稳定增长,个人消费电子设备主要的诉求是小型化,省电,高集成度,低成本和模块化。比如个人移动终端要求能实现多种功能的模块化,将应用处理器模块,基带模块,射频模块,指纹识别模块,通讯模块,电源管理模块等集成在一起。这些产品对芯片封装形式的要求同样是小型化,省电,高集成度,模块化,芯片封装形式主要是“Stack Die on Passive”,“Antenna in SiP”,“Double Side SiP等。比如苹果的3D SiP集成封装技术,从过去的ePOP & BD PoP,发展到目前的是HBW-PoP和FO-PoP,下一代的移动终端封装形式可能是FO-PoP加上FO-MCM,这种封装形式能够提供更加超薄的设计。

5G 网络芯片的封装需求: 5G网络和基于物联网的NB-IOT网络建设意味着网络芯片市场将会有不错的表现。与网络密切祥光的大数据,云计算和数据中心,对存储器芯片和FPGA GPU/CPU的需求量非常大。通信网络芯片的特点是大规模,高性能和低功耗,此外,知识产权(IP)核复杂、良率等都是厂商面临的重要问题。这些需求和问题也促使网络芯片封装从Bumping & FC发展到2.5D,FO-MCM和3D。而TSV技术的成功商用,使芯片的堆叠封装技术取得了实质性进展,海力士和三星已成功研发出3D堆叠封装的高带宽内存(HBM),Micron和Intel等也正在联合推动堆叠封装混合存储立方体(HMC)的研发。在芯片设计领域,BROADCOM、GLOBAL FOUNDRIES等公司也成功引入了TSV技术,目前已能为通信网络芯片提供2.5D堆叠后端设计服务。

上游晶圆代工厂供应端对封装的影响

一方面,下游市场需求非常旺盛,另外一方面,大基金带领下的资本对晶圆代工制造业持续大力投资,使得上游的制造一直在扩充产能.据SEMI估计,全球将于2017年到2020年间投产62座半导体晶圆厂,其中26座在中国大陆,占全球总数的42%。目前晶圆厂依然以40

nm以上的成熟制程为主,占整体晶圆代工产值的60%。未来,汽车电子,消费电子和网络通信行业对芯片集成度、功能和性能的要求越来越高,主流的晶圆厂中芯和联电都在发展28nm制程,其中台积电28nm制程量产已经进入第五年,甚至已经跨入10Xnm制程。

随着晶圆技术节点不断逼近原子级别,摩尔定律可能将会失效。如何延续摩尔定律?可能不能仅仅从晶圆制造来考虑,还应该从芯片制造全流程的整个产业链出发考虑问题,需要 对芯片设计,晶片制造到封装测试都进行系统级的优化。 因此, 晶圆制造,芯片封测和系统集成三者之间的界限将会越来越模糊。 首先是芯片封测和系统集成之间出现越来越多的子系统,各种各样的系统级封装SiP需要将不同工艺和功能的芯片,利用3D等方式全部封装在一起,既缩小体积,又提高系统整合能力。Panel板级封装也将大规模降低封装成本,提高劳动生产效率。其次,芯片制造和芯片封测之间出现了扇入和扇出型晶圆级封装,FO-WLP封装具有超薄,高I/O脚数的特性,是继打线,倒装之后的第三代封装技术之一,最终芯片产品具有体积小,成本低,散热佳,电性能优良,可靠性高等优势。

先进封装的发展现状

先进封装形式在国内应用的越来越多,传统的TO和DIP封装类型市场份额已经低于20%,

最近几年,业界的先进封装技术包括以晶圆级封装(WLCSP)和载板级封装(PLP)为代表的2.1D,3D封装,Fan Out WLP,WLCSP,SIP以及TSV,

2013年以前,2.5D TSV封装技术主要应用于逻辑模块间集成,FPGA芯片等产品的封装,集成度较低。2014年,业界的3D TSV封装技术己有部分应用于内存芯片和高性能芯片封装中,比如大容量内存芯片堆叠。2015年,2.5D TSV技术开始应用于一些高端GPU/CPU,网络芯片,以及处理器(AP)+内存的集成芯片中。3D封装在集成度、性能、功耗,更小尺寸,设计自由度,开发时间等方面更具优势,同时设计自由度更高,开发时间更短,是各封装技术中最具发展前景的一种。在高端手机芯片,大规I/O芯片和高性能芯片中应用广泛,比如一个MCU加上一个SiP,将原来的尺寸缩小了80%。

目前国内领先封装测试企业的先进封装能力已经初步形成

长电科技王新潮董事长在2017半导体封装测试年会上,对于中国封测厂商目前的先进封装技术水平还提到三点:

SiP 系统级封装: 目前集成度和精度等级最高的SiP模组在长电科技已经实现大规模量产;华天科技的TSV+SiP指纹识别封装产品已经成功应用于华为系列手机。

WLP 晶圆级封装 :长电科技的Fan Out扇出型晶圆级封装累计发货超过15亿颗,其全资子公司长电先进已经成为全球最大的集成电路Fan-In WLCSP封装基地之一;晶方科技已经成为全球最大的影像传感器WLP晶圆级封装基地之一。

FC 倒装封装: 通过跨国并购,国内领先企业获得了国际先进的FC倒装封装技术,比如长电科技的用于智能手机处理器的FC-POP封装技术;通富微电的高脚数FC-BGA封装技术;国内三大封测厂也都基本掌握了16/14nm的FC倒装封装技术。

先进封装强势崛起,影响IC产业格局

摩尔定律的延伸受到物理极限、巨额资金投入等多重压力,迫切需要别开蹊径延续工艺进步。而通过先进封装集成技术,可以更轻松地实现高密度集成、体积微型化和更低的成本。封装行业将在集成电路整体系统整合中扮演更重要的角色,也将对产业的格局形成更多影响。随着先进封装的推进,集成电路产业将展现出一些新的发展趋势,有先进封装的集成电路产业样貎将会有所不同。

先进封装增速远超传统封装

当前 社会 正处于新技术与新应用全面爆发的背景下,移动设备、大数据、人工智能、5G通信、高性能计算、物联网、智能 汽车 、智能工业等快速发展。这些技术与应用必将对底层芯片技术产生新的需求。据麦姆斯咨询的介绍,支持这些新兴大趋势的电子硬件需要高计算能力、高速度、更多带宽、低延迟、低功耗、更多功能、更多内存、系统级集成、更精密的传感器,以及最重要的低成本。这些新兴趋势将为各种封装平台创造商机,而先进封装技术是满足各种性能要求和复杂异构集成需求的理想选择。

系统级封装可以将一个或多个IC芯片及被动元件整合在一个模块中,从而实现具有完整功能的电路集成,它也可以降低成本,缩短上市时间,同时克服了SoC中诸如工艺兼容、信号混合、噪声干扰、电磁干扰等难题。

3D封装通过晶圆级互连技术实现芯片间的高密度封装,可以有效满足高功能芯片超轻、超薄、高性能、低功耗及低成本的需求,被大多半导体厂商认为是最具有潜力的封装方法。

总之,在市场需求的带动下,越来越多先进封装技术被开发出来,先进封装的市场占比将会进一步扩大。统计数据显示,从2017年到2023年,整个半导体封装市场的营收将以5.2%的年复合增长率增长,而先进封装市场将以7%的年复合增长率增长,市场规模到2023年将增长至390亿美元,传统封装市场的复合年增长率则低于3.3%。

展现三大发展趋势

随着先进封装技术的发展以及市场规模的扩大,其对于整个集成电路产业结构将产生越来越大的影响。首先是中段工艺的出现并逐渐形成规模。随着传统封装技术向先进封装过渡,有别于传统封装技术的凸块(Bumping)、再布线(RDL)、硅通孔(TSV)等中段工艺被开发出来,并且开始发挥重要作用。中芯长电半导体首席执行官崔东表示,仅靠缩小线宽的办法已经无法同时满足性能、功耗、面积,以及信号传输速度等多方面的要求,因此半导体企业开始把注意力放在系统集成层面来寻找解决方案,也就是通过先进的硅片级封装技术,把不同工艺技术代的裸芯封装在一个硅片级的系统里,兼顾性能、功耗和传输速度的要求。这就产生了在硅片级进行芯片之间互联的需要,进而产生了凸块、再布线、硅通孔等中段工艺。而中段硅片加工的出现,也打破了前后段芯片加工的传统分工方式。

其次,制造与封装将形成新的竞合关系。由于先进封装带来的中段工艺,封测业和晶圆制造业有了更紧密的联系,在带来发展机遇的同时,也面临着新的挑战。中段封装的崛起必然挤压晶圆制造或者封装测试业的份额。有迹象表明,部分晶圆厂已加大在中段封装工艺上的布局。晶圆厂有着技术和资本的领先优势,将对封测厂形成较大的竞争压力。传统封测厂较晶圆制造业相比属于轻资产,引入中段工艺后,设备资产比重较传统封装大大增加,封测业的先进技术研发和扩产将面临较大的资金压力。

最后,推动集成电路整体实力的提升。后摩尔时代的集成电路产业更强调产业链的紧密合作,强化产业链上下游之间的内在联系,要求各个环节不再是割裂地单独进行生产加工,而是要求从系统设计、产品设计、前段工艺技术和封测各个环节开展更加紧密的合作。企业对于先进封装业务的竞争,最终还需表现为产业链之间综合实力的竞争。

中国应加快虚拟IDM生态链建设

近几年中国集成电路封测产业实现了高速发展,有了长足的进步,然而国内集成电路封测产业链整体技术水平不高也是不争的事实。半导体专家莫大康认为,中国现在非常重视集成电路产业,推动先进封装业的发展就是非常必要的了。中国的封装测试是集成电路三业(设计、制造、封测)中起步最早的,与国际水平差距也比较小,因此完全有能力发展起来。

华进半导体总经理曹立强在近日的演讲中再次提出,推动国内“EDA软件—芯片设计—芯片制造—芯片封测—整机应用”集成电路产业链虚拟IDM生态链的建设,以市场需求牵引我国集成电路封测产业快速发展。集成电路的竞争最终会表现为产业链之间综合实力的竞争,先进封装的发展需要从工艺、设备和材料等方面的协同。

在新的技术趋势和竞争环境下,集成电路产业越来越表现为产业链整体实力的竞争。过去几年,国际半导体制造公司纷纷加大力度向先进工艺挺进,在持续大规模资本投入扩建产能的带动下,一些半导体制造大厂同样具备了完整的先进封装制造能力。

应对这样的产业形势,曹立强指出,重点在于突破一些关键性技术,如高密度封装关键工艺、三维封装关键技术、多功能芯片叠层集成关键技术、系统级封装关键技术等。建设立足应用、重在转化、多功能、高起点的虚拟IDM产业链,解决集成电路产业领域的关键技术,突破技术瓶颈。

版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌抄袭侵权/违法违规的内容, 请发送邮件至836084111@qq.com 举报,一经查实,本站将立刻删除。

联系我们

工作日:9:30-18:30,节假日休息